Bathar

10M08SAU169C8G Cuir fios gu seirbheis teachdaiche (21+ reic spot)

Tuairisgeul goirid:

Àireamh Pàirt Boyad: 544-3135-ND
Dèanadair: Intel
Àireamh toraidh neach-dèanamh: 10M08SAU169C8G
thoir cunntas air: IC FPGA 130 I / O 169UBGA
Tuairisgeul mionaideach: sreath raon geata prògramaichte achadh (FPGA) IC 130 387072 8000 169-LFBGA
Àireamh Pàirt Taobh a-staigh teachdaiche
Sònrachaidhean: Specifications


Mion-fhiosrachadh toraidh

Bathar Tags

feartan toraidh

TIP DEARBHACHADH
roinn-seòrsa Cearcall Amalaichte (IC)
Air a stèidheachadh - FPGA (Array Gate Programmable Field)
saothraiche Intel
sreath MAX® 10
Pacaid treidhe
inbhe toraidh Anns a’ bhùth
An àireamh de LAB/CLB 500
An àireamh de eileamaidean / aonadan loidsig 8000
Meud iomlan de RAM 387072
Tha mi/O a’ cunntadh 130
Voltage - Cumhachd 2.85V ~ 3.465V
seòrsa stàlaidh Seòrsa Mount Surface
Teòthachd obrachaidh 0 ° C ~ 85 ° C (TJ)
Pasgan / cuairteachadh 169-LFBGA
Pacadh inneal solaraiche 169-UBGA (11x11)

aithris air bug
Rannsachadh Parametric Ùr

Sgrìobhainnean agus na meadhanan

GOIREASAN GHAIDHEALACH LEANNAN
Sònrachaidhean MAX 10 Sealladh farsaing FPGA Duilleag dàta inneal MAX 10 FPGA
Modalan trèanaidh bathar Smachd motair MAX10 a’ cleachdadh FPGA neo-luaineach aon-chip cosgais ìseal  Riaghladh Siostam Stèidhichte MAX10
Bathar sònraichte Àrd-ùrlar T-CoreModal Coimpiutaireachd Evo M51 Hub Sensor Hinj ™ FPGA agus Kit Leasachaidh XLR8: Bòrd Leasachaidh FPGA Co-fhreagarrach Arduino
Dealbhadh / Sònrachadh PCN Stiùireadh prìne Max10 3/Dùbhlachd/2021Bathar-bog Multi Dev Chgs 3/Ògmhios/2021
Pasgan PCN Label Mult Dev Chgs 24/Gearran/2020Label Multi Dev CHG 24/06/2020
Sònrachaidhean HTML MAX 10 Sealladh farsaing FPGADuilleag dàta inneal MAX 10 FPGA
Modail EDA/CAD 10M08SAU169C8G le SnapEDA

Seòrsachadh Àrainneachd agus Às-mhalairt

GNATH-FHOCAIL DEARBHACHADH
Inbhe RoHS Gèilleadh ri RoHS
Ìre Mothachadh Taiseachd (MSL) 3 (168 uairean)
Inbhe REACH Bathar neo-REACH
ECCN 3A991d
HTSUS 8542.39.0001

Iomadaichean freumhaichte agus taic giollachd chomharran didseatach
Suas ri 17 cuir a-steach taobh a-muigh aon-cheann
airson innealan ADC singilte
Aon prìneachan cuir a-steach analog sònraichte agus 16 gnìomh dùbailte
Suas ri 18 cuir a-steach taobh a-muigh aon-cheann
airson innealan dùbailte ADC
• Aon analog sònraichte agus ochd prìneachan cuir a-steach dà-ghnìomh anns gach bloc ADC
• Comas tomhais aig an aon àm airson innealan dùbailte ADC
Sensor teòthachd air-chip A’ cumail sùil air cuir a-steach dàta teòthachd taobh a-muigh le ìre samplachaidh suas ri 50
kilosamples gach diog
Cuimhne flash cleachdaiche
Bidh am bloc cuimhne flash neach-cleachdaidh (UFM) ann an innealan Intel MAX 10 a ’stòradh neo-luaineach
fiosrachadh.
Tha UFM a’ toirt seachad fuasgladh stòraidh air leth math a gheibh thu le bhith a’ cleachdadh protocol eadar-aghaidh tràillean Avalon Memory Mapped (Avalon-MM).
Iomadaichean freumhaichte agus taic giollachd chomharran didseatach
Bidh innealan Intel MAX 10 a’ toirt taic do suas ri 144 blocaichean iomadachaidh freumhaichte.A h-uile bloca
a 'toirt taic do aon iomadachaidh 18 × 18-bit fa leth no dà iomadachaidh 9 × 9-bit fa leth.
Leis a’ mheasgachadh de ghoireasan air-chip agus eadar-aghaidh taobh a-muigh ann an Intel MAX 10
innealan, faodaidh tu siostaman DSP a thogail le àrd-choileanadh, cosgais siostam ìosal, agus ìosal
caitheamh cumhachd.
Faodaidh tu an inneal Intel MAX 10 a chleachdadh leis fhèin no mar cho-phròiseasar inneal DSP gu
piseach a thoirt air co-mheasan prìs-gu-coileanadh de shiostaman DSP.
Faodaidh tu smachd a chumail air obrachadh nam blocaichean iomadachaidh freumhaichte a’ cleachdadh na leanas
roghainnean:
• Dèan paramadair air na coraichean IP iomchaidh le deasaiche paramadair Intel Quartus Prime
• Thoir a-steach an luchd-iomadachaidh gu dìreach le VHDL no Verilog HDL
Feartan dealbhaidh siostam air an toirt seachad airson innealan Intel MAX 10:
• Coraichean IP DSP:
- Gnìomhan giullachd DSP cumanta leithid freagairt impulse crìochnaichte (FIR), luath
Cruth-atharrachadh Fourier (FFT), agus gnìomhan oscillator fo smachd àireamhach (NCO).
- Suites de ghnìomhan giullachd bhidio is ìomhaighean cumanta
• Dealbhaidhean iomraidh coileanta airson tagraidhean margaidh deireannach
• DSP Builder airson inneal eadar-aghaidh Intel FPGAs eadar an Intel Quartus Prime
bathar-bog agus àrainneachdan dealbhaidh MathWorks Simulink agus MATLAB
• Innealan leasachaidh DSP
Blocaichean cuimhne freumhaichte
Tha an structar cuimhne freumhaichte air a dhèanamh suas de cholbhan blocaichean cuimhne M9K.Tha gach M9K
Tha bloc cuimhne inneal Intel MAX 10 a’ toirt seachad 9 Kb de chuimhne air-chip comasach
ag obair aig suas ri 284 MHz.Tha an structar cuimhne freumhaichte air a dhèanamh suas de M9K
colbhan blocaichean cuimhne.Bheir gach bloc cuimhne M9K de inneal Intel MAX 10 seachad
9 Kb de chuimhne air-chip.Faodaidh tu na blocaichean cuimhne a sgaoileadh gus a bhith nas fharsainge no nas doimhne
structaran loidsigeach.
Faodaidh tu na blocaichean cuimhne M9K a rèiteachadh mar RAM, bufairean FIFO, no ROM.
Tha na blocaichean cuimhne inneal Intel MAX 10 air an ùrachadh airson tagraidhean leithid àrd
giollachd pacaid trochur, prògram pròiseasar freumhaichte, agus dàta freumhaichte
stòradh.


  • Roimhe:
  • Air adhart:

  • Fàg do Theachdaireachd

    Bathar Co-cheangailte

    Fàg do Theachdaireachd