Bathar

SPC5604BF2MLH4 (Riaghailtean carbaid gann)

Tuairisgeul goirid:

Àireamh Pàirt Boyad: SPC5604BF2MLH4-ND

neach-dèanamh:Tha NXP USA Inc.

Àireamh toraidh neach-dèanamh: SPC5604BF2MLH4

tuairisgeul: IC MCU 32BIT 512KB FLASH 64LQFP

Ùine lìbhrigidh àbhaisteach factaraidh tùsail: 39 seachdainean

Tuairisgeul mionaideach: microcontroller sreath e200z0h IC 32-bit cridhe singilte 48MHz 512KB (512K x 8) Flash 64-LQFP (10 × 10)

Àireamh Pàirt Taobh a-staigh teachdaiche

 


Mion-fhiosrachadh toraidh

Bathar Tags

feartan toraidh:

TIP DEARBHACHADH
roinn-seòrsa Cearcall Amalaichte (IC) Leabachadh - Microcontrollers
saothraiche Tha NXP USA Inc.
sreath Clàr MPC56xx
Pacaid treidhe
inbhe toraidh Anns a’ bhùth
pròiseasar bunaiteach e200z0h
Sònrachadh kernel 32-bit aon bhun-stèidh
luaths 48MHz
Ceangalachd CANbus, I²C, LIN, SCI, SPI
Iomallaichean DMA, POR, PWM, WDT
An àireamh I/O 45
Comas stòraidh prògram 512KB(512K x 8)
Seòrsa cuimhne prògram flash
EEPROM comas 64K x 8
Meud RAM 32K x 8
Voltage - solar cumhachd (Vcc/Vdd) 3V ~ 5.5V
inneal-tionndaidh dàta A/D 12x10b
Seòrsa oscillator a-staigh
Teòthachd obrachaidh -40 ° C ~ 125 ° C (TA)
seòrsa stàlaidh Seòrsa Mount Surface
Pasgan / cuairteachadh 64-LQFP
Pacadh inneal solaraiche 64-LQFP(10x10)
Àireamh toraidh bunaiteach SPC5604

Seòrsachadh Àrainneachd agus Às-mhalairt:

GNATH-FHOCAIL DEARBHACHADH
Inbhe RoHS A’ gèilleadh ri sònrachadh ROHS3
Ìre Mothachadh Taiseachd (MSL) 3 (168 uairean)
Inbhe REACH Bathar neo-REACH
TEACHADH 3A991A2
HTSUS 8542.31.0001

Tuairisgeul coitcheann:
a’ toirt geàrr-chunntas air gnìomhan a h-uile bloc a tha an làthair anns an t-sreath microcontrollers MPC5604B/C.
Thoir an aire gu bheil làthaireachd agus an àireamh de bhlocaichean ag atharrachadh a rèir inneal agus pacaid
Inneal-tionndaidh analog-gu-didseatach (ADC) Ioma-seanail, inneal-tionndaidh analog-gu-didseatach 10-bit
Modal taic Boot (BAM) Bloc de chuimhne leughaidh a-mhàin anns a bheil còd VLE a thèid a chuir gu bàs a rèir
gu modh bròg an inneil
Aonad sgrùdaidh cloc (CMU) A’ cumail sùil air ionracas stòr cloc (a-staigh agus a-muigh).
Aonad tar-brosnachaidh (CTU) A’ comasachadh atharrachaidhean ADC a shioncronachadh le tachartas timer bhon eMIOS
no bhon PIT
Eadar-aghaidh peripheral serial deserial
(DSPI)
A’ toirt seachad eadar-aghaidh sreathach sioncronaich airson conaltradh le innealan taobh a-muigh
Modal Inbhe Ceartachaidh Mearachd
(ECSM)
A ’toirt seachad grunn ghnìomhan smachd measgaichte airson an inneal a’ toirt a-steach
fiosrachadh a tha follaiseach sa phrògram mu ìrean rèiteachaidh agus ath-sgrùdaidh, inbhe ath-shuidheachadh
clàr, smachd dùsgadh airson modhan cadail fàgail, agus feartan roghainneil leithid
fiosrachadh mu mhearachdan cuimhne air an aithris le còdan ceartachaidh mhearachdan
Cothrom leasaichte cuimhne dìreach
(eDMA)
A’ coileanadh gluasadan dàta iom-fhillte le glè bheag de eadar-theachd bho phròiseasar aoigheachd
tro shianalan prògramaichte “n”.
Toradh cuir a-steach modular leasaichte
siostam (eMIOS)
A’ toirt seachad comas-gnìomh airson tachartasan a ghineadh no a thomhas
Cuimhne Flash A’ toirt seachad stòradh neo-luaineach airson còd prògraim, cunbhalachd agus caochladairean
FlexCAN (lìonra sgìre smachd) A’ toirt taic do phròtacal conaltraidh àbhaisteach CAN
Tricead-modulated
lùb glaiste ìre (FMPLL)
A ’gineadh clocaichean siostam aig astar luath agus a’ toirt taic do tricead prògramaichte
modaladh
Ioma-fhillteadair a-staigh (IMUX) SIU
fo-bhloc
A’ ceadachadh mapadh sùbailte de eadar-aghaidh iomaill air diofar phrìneachan an inneil
Bus cuairteachaidh eadar-amalaichte (I2C ™) Bus sreathach dà-uèir a bheir seachad dòigh shìmplidh agus èifeachdach
iomlaid dàta eadar innealan
Rianadair brisidh (INTC) A’ toirt seachad clàr ro-chùram stèidhichte air prìomhachas airson iarrtasan brisidh
Rianadair JTAG A’ toirt seachad dòigh air comasachd chip agus ceanglaichean a dhearbhadh fhad ‘s a tha e air fhàgail
follaiseach do loidsig an t-siostaim nuair nach eil e ann am modh deuchainn
Rianadair LINFlex A’ riaghladh àireamh àrd de theachdaireachdan LIN (Protocal Lìonra Eadar-cheangail Ionadail).
gu h-èifeachdach le eallach CPU aig a’ char as lugha
Modal ginealach cloc
(MC_CGM)
A 'toirt seachad loidsig agus smachd a dh' fheumar airson siostam agus iomall a chruthachadh
clocaichean
Modal inntrigidh modh (MC_ME) A’ toirt seachad uidheamachd airson smachd a chumail air modh agus modh obrachaidh an inneil
sreathan gluasaid anns a h-uile stàit gnìomh;cuideachd a’ riaghladh an aonad smachd cumhachd,
modal ginealach ath-shuidheachadh agus modal ginealach cloc, agus a 'cumail an
clàran rèiteachaidh, smachd agus inbhe ruigsinneach airson tagraidhean
Aonad smachd cumhachd (MC_PCU) A’ lughdachadh caitheamh cumhachd iomlan le bhith a’ dì-cheangal pàirtean den inneal
bhon t-solar cumhachd tro inneal atharrachadh cumhachd;Tha co-phàirtean inneal
air an cruinneachadh ann an earrannan ris an canar “raointean cumhachd” a tha fo smachd an PCU
Ath-shuidhich modal ginealachd
(MC_RGM)
A 'meadhanachadh stòran ath-shuidheachadh agus a' stiùireadh sreath ath-shuidheachadh inneal an uidheim.


  • Roimhe:
  • Air adhart:

  • Fàg do Theachdaireachd

    Bathar Co-cheangailte

    Fàg do Theachdaireachd